Jump to content

UVM SystemVerilog Discussions

Use this forum when your question is about SystemVerilog language issues in the context of UVM. These can be about how to use a language feature is user code with the UVM or about language usage inside the UVM BCL.


598 topics in this forum

    • 1 reply
    • 757 views
    • 2 replies
    • 2.4k views
  1. Verilog bug issue

    • 2 replies
    • 568 views
    • 1 reply
    • 1.3k views
    • 1 reply
    • 3.2k views
    • 0 replies
    • 569 views
    • 1 reply
    • 1.4k views
    • 8 replies
    • 4.7k views
    • 0 replies
    • 588 views
    • 0 replies
    • 1.7k views
    • 0 replies
    • 741 views
    • 4 replies
    • 50.5k views
    • 5 replies
    • 2.2k views
    • 0 replies
    • 852 views
    • 4 replies
    • 1.6k views
    • 1 reply
    • 557 views
  2. Check if string is 'inside' an enum

    • 4 replies
    • 4.4k views
    • 1 reply
    • 2.7k views
  3. Use of wait statement

    • 2 replies
    • 4.1k views
  4. Transaction Logging

    • 1 reply
    • 1.5k views
    • 1 reply
    • 2k views
    • 3 replies
    • 936 views
    • 4 replies
    • 1.2k views
  5. uvm_field_macros

    • 1 reply
    • 1.9k views
    • 0 replies
    • 872 views
×
×
  • Create New...