Jump to content

Verilog bug issue


Tarzan

Recommended Posts

module de_3_to_8( in,decoder_out,enable);
  input [3:0] in;
  output [7:0] decoder_out;// syntax error

  //individual wires
  assign decoder_out[0]= ~in[2] & ~in[1] & ~in[0];
  assign decoder_out[1]= ~in[2] & ~in[1] & in[0];
  assign decoder_out[2]= ~in[2] & in[1] & ~in[0]; 
  assign decoder_out[3]= ~in[2] & in[1] & in[0];
  assign decoder_out[4]= in[2] & ~in[1] & ~in[0];
  assign decoder_out[5]= in[2] & ~in[1] &  in[0];
  assign decoder_out[6]= in[2] &  in[1] & ~in[0];
  assign decoder_out[7]= in[2] &  in[1] &  in[0];
 
endmodule

 

Error: At line 3 syntax error. I`m not knowing what is the error with the syntax.

 

Regards

Devesh

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...