Jump to content

UVM : transaction class and sequence_item class


Recommended Posts

Hello All,

Please tell me the difference between transaction class and uvm_sequence_item class?

Please provide me the clarity on the get_sequence_id method in uvm_sequence_item class

Please provide me the clarity on the accept_tr , end_tr, start_tr in uvm_transaction class

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...