Jump to content

Need help on agent architecture


Recommended Posts

I have DUT interface  with A,B (list of singals) that needs to be driven with some timing dependency . A is cmd interface ,B is data interface .  Now i plan to implement driver should i have separate sequence  from signals list A and signals list B . If so how would i synchronize two sequences sequences so that i meet the timing relation between these two  . Or should i have implemeted both cmd and data in same sequence item and have the logic in driver so it driver cmd and data after timing is meet . I dont like this approach as i need fill my driver with timing code . I want my timing information to be in sequence . 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...