Jump to content

49 files

  1. vim syntax highlighting file for Verilog, Systemverilog and UVM

    This file, when sourced via your .vimrc file, highlights the HDL (Verilog, SystemVerilog) and Methodology layer (UVM) keywords in the vim editor. 

    5,513 downloads

    11 comments

    Submitted

  2. UVM Reference Flow Version 1.1

    The UVM Reference Flow version 1.1 has been updated to align with the Accellera uvm-1.1 release (uvm-1.1a). It applies the Universal Verification Methodology (UVM) to a Block and Cluster Verification in a SoC Design. The UVM Reference Flow was developed by Cadence to show the best practices for applying UVM to the verification of a block, a Universal Asynchronous Receiver Transmitter (UART). It then shows how to reuse the block level verification environment when verifying a cluster design (an APB subsystem) into which the UART is integrated along with other design components (viz. SPI, GPIO, Power Controller, Timers etc…).

    This contribution is not approved or endorsed by Accellera but may be of interest to UVM users as is true of other contributions.
    What’s New : This release of the UVM Reference Flow is completely aligned with the latest uvm-1.1 production library from Accellera and demonstrates the latest features including:
    - global resource database for configuration mechanism
    - UVM_REG methodology for register verification. The UVM_REG package is a part of uvm-1.1 release.
    This release also includes a UVM e Reference Flow which applies the Universal Verification Methodology in e (UVM-e developed by Cadence) to the same block and cluster level Verification of UART and APB subsystem. The sample verification environments (both block and cluster level) contain UVCs based on eRM as well as using UVM-e. Both eRM and UVM-e compatible UVC's can be nicely integrated together and can work seamlessly. Thus, it ensures that all existing eRM compliant environments need not to be re-coded to work with an UVM compatible environment. Usage of the UVM-e Scoreboard package is also included in this release.
    The UVM Reference Flow design is based on an Ethernet Switch System-on-Chip (SoC). The SoC has the following key design components
    1. An Opencores Open RISC Processor
    2. Opencores Ethernet Media Access controller (MAC)
    3. AMBA AHB network interconnect
    4. Address Look up table (ALUT)
    5. Support and Control functions. For instance power management and peripherals like UART, SPI, GPO, timer etc
    6. On-chip Memories and memory controller

    The UVM Reference Flow also includes the following key verification components
    1. AMBA AHB UVC (SV & e)
    2. AMBA APB UVC (SV & e)
    3. UART UVC (SV & e)
    4. GPIO UVC (SV & e)
    5. SPI  UVC (SV & e) 
    6. Register Memory Package (uvm_reg) from uvm-1.1 library
    7. UVM-e scoreboard
    Please look at the UVM Reference Flow user guide which can be found at
    For SV flow : <UVM Reference Flow Installation area>/doc/uvm_flow_topics/uvm_sv/uvm_sv_ref_flow_ug.pdf for more details.
    For e Flow : <UVM Reference Flow Installation area>/doc/uvm_flow_topics/uvm_e/uvm_e_ref_flow_ug.pdf for more details.
    Release Version :1.1
    The UVM Reference UVM Reference Flow 1.1 release is tested with UVM 1.1 Production Library (uvm-1.1) (from Accellera) and Incisive Enterprise Simulator (IES) 11.1 It should be possible to run the UVM Reference Flow on any IEEE 1800 and 1647 Compliant Simulator which supports UVM.
    For more information about using the UVM Reference Flow please contact uvm_ref@cadence.com.
     

    4,668 downloads

    1 comment

    Submitted

  3. UVM-ML Open Architecture

    UVM-ML Open Architecture - version 1.13
    Enabling Multi-Language and Multi-Framework Verification
    Dec, 2023
     
    General Overview
    Universal Verification Methodology Multi-Language (UVM-ML) provides a modular solution for integrating verification components written in different languages into a unified and coordinated verification environment. It consists of an open source library that enables such integrations, and can be extended to support additional languages and methodologies.
     
    This release of the UVM-ML implementation is the result of collaboration work between Advance Micro Devices, Inc., and Cadence Design Systems, Inc. It expands on the mature technology provided by Cadence in Incisive and in previous UVM-ML postings on UVMWorld. It is provided as open source under the Apache 2.0 license.
     
    This distribution includes the following main elements
    Backplane implementation and API Example frameworks and adapters (three provided: UVM-SV, UVM-e, and UVM-SC) Several demos and high level examples (showing all frameworks interacting) and a few smaller feature examples (tests) Docs directory with a Reference manual, User Guide and reference HTML docs
    Information on all news and features can be found in the ml/docs/ directory.
     

    This UVM-ML package is intended to serve as a basis for the verification community to collaboratively expand and evolve the multi-language verification methodology. Please read the “Status, Use, and Disclaimers” section below for full details.
     
    Where to Find Information
    Where to start reading: point your web browser to ml/README.html
    The landing page provides links to installation directions, release notes, user guide, and more. For feedback or questions: send email to support_uvm_ml@cadence.com You can checkout the update of David I. Long form Doulos at DVCon 2016 in the US. It relates to UVM-ML (along with other updates).
    Blog series:
    Multi-Language Verification Environment—Getting First Run in Few Minutes Multi-Language Verification Environment (#2) – Passing Items on TLM Ports, Using UVM ML Multi-Language Verification Environment (#3) – Connecting UVM Scoreboard to a Multi-Language Environment Multi-Language Verification Environment (#4)—Multi-Language Hierarchy Debugging Multi-Language Verification Environments UVM-ML- Managers’ Freedom of Choice
    Platforms and Simulators
    This release of UVM-ML should run on any simulator supporting one or more of the standard languages: IEEE 1800 (SystemVerilog), IEEE 1647 (e), and IEEE 1666 (SystemC). It was tested on the Linux operating system with various combinations of simulators and languages.
     

    -------------------------------------------------------------------------------------------------------
     
    UVM-ML Open Architecture: Status, Use, and Disclaimers
    This section provides guidance and status regarding the use of the UVM Multi Language Open Architecture solution.
     
    The UVM-ML Open Architecture package is an open source solution, developed jointly by AMD and Cadence. We welcome feedback including suggestions for improvements. For any feedback or questions, please contact support_uvm_ml@cadence.com
     
    Use and Disclaimers:
    Licensing: This package is an open source library, protected under the Apache license (see legal clause at the bottom). Access: This package is available as early access to the verification community, and therefore changes to its content and behavior should be expected. Backward compatibility cannot be guaranteed. Changes are expected to take place when the verification community jointly refines the solution, to fit user requirements. We will aim, however, to provide help in adjusting to changes. Quality: this package is still under development. It is being tested and regressed with all active versions of Incisive and with the Accellera OSCI simulator before being released. The user needs to be aware of the simulator version on which the solution is tested. AMD tested the open source solution on other commercial simulators. Issues reported to AMD and Cadence will be addressed. Standardization: This package is not a standard. However, it is available as open source to all potential users. Support: Since this is not a product, it does not have a committed level of product support. We will provide help via the UVMWorld community on Accellera where the source code is posted. For Cadence customers, Cadence will provide direct support as needed.
    Note: the model described above is similar to how the very successful OVM and UVM-1.0ea (early version) were provided in the beginning. We believe you can gain significant value from access to this solution, and also be able to participate in developing it to ensure it addresses your needs.
    -------------------------------------------------------------------------------------------------------
    What's new in each version
    For the full listing and more details please see the release-notes.txt file at the top of the release package.
    Please note that the items in red might require some changes on the user's side while upgrading to this version, please read these items carefully in the release notes.
    1.13
    ASI (OSCI) 2.3.3 is supported. 1.12
    The support for UVM-SV IEEE (2017) (with ML customizations) is now available.  1.11.1
    Compatible with Xcelium 2021.03 (with CXX11 ABI) g++ 9.3 and CXX11 ABI (-D_GLIBCXX_USE_CXX11_ABI=1) are now supported.  install*.csh now accept --use-cxx11-abi / --no-use-cxx11-abi. This sets UVM_ML_ABI_CXXFLAGS behind the curtains, respectively to -D_GLIBCXX_USE_CXX11_ABI=1 and -D_GLIBCXX_USE_CXX11_ABI=0.  UVM-ML no longer interferes with uvm_objection::set_propagate_mode.  When using with Xcelium, a proper warning should now be issued if both the command line "-uvmtop/-uvmtest" and uvm_ml_run_test parameters are provided.  UVM-SC adapter code was reworked to avoid unnecessary thread spawning, thus improving performance and avoiding crashes in some cases 1.11
    Support for calling uvm_ml_run_test() from SV program block (instead of a SV module) is now added. Note that this means that UVM Runtime phases are now blocking by default on procedural runs. Please refer to the documentation of uvm_ml_run_test() for more details. For OSCI users: "sc_simcontext::co_simulate" ML enabler is dropped, sc_start is used instead. This helps ensuring that the SystemC callbacks are separated for static vs quasi-static entities Enhanced error handling Enhanced examples- the options to run examples for multi steps with xrun and also bitness are now supported (see demo.sh -help ). 1.10.2
    Supporting TCL 8.6 as of Xcelium 18.11 1.10.1
    Fully qualified with IES version 15.2 and Xcelium 17.10-18.09 (the earlier version:1.10 has an issue with 18.09, which is fixed in this version). Questa 10.6b is supported 1.10:
    Fully qualified with IES version 15.2 and Xcelium 17.04-18.03. ASI (OSCI) 2.3.2 is supported. Support for OSCI 2.2 was dropped. Open source version can be accessed by pre-processor macros (SystemC only: UVM_ML_CURRENT_NUMERIC_VERSION  and  UVM_ML_NUMERIC_VERSION) or by a method (get_numeric_version) Enhancements and fixes in event propagation between frameworks Improved error handling  Other fixes 1.9:
    Fully qualified with IES version 15.2 and Xcelium 17.04-17.10. In order to make it work with Xcelium 18.03, you can just comment the patch header in ml/adapters/uvm_e/sn_uvm_ml.e. Runtime phase synchronization between UVM-e to UVM-SV is now supported in UVM-ML OA (only with Xcelium 17.10). System C TLM2 convenience sockets (including passthrough_initiator/target_socket) are now supported by UVM-ML OA. 1.8
    Fully qualified with IES version 15.2 and Xcelium 16.11-17.04. New debug command for tracing serialization in SV and e : uvm_ml trace_ser Moving from one Xcelium agile version to the other requires reinstallation of UVM-ML (running install_xclm.csh again) against the respective version) and no additional manual steps are needed 1.7:
    Fully qualified with IES version 15.2 and Xcelium 16.11-17.02. New debug command for observing matching types was added: "uvm_ml print_type_match". Support for multiple ML connections for SystemC TLM2 sockets. 1.6:
    Fully qualified with IES versions 14.2,15.1 and 15.2. UVM-SV 1.2 is now fully supported (please read RELEASE_NOTES.txt under ml directory for more details). When working with Incisive 15.2, the user can take some steps in order to skip compiling the e part of the adapter (this might be important for users that compile other
    e code on top of Specman, like VIP). The steps are documented in the UVM-ML OA user guide under:
    "Linking the Specman UVM-e Adapter From Incisive Version 15.2 On". OSCI 2.3.1 is now supported instead of OSCI 2.3, meaning that the supported OSCI versions are: 2.3.1 and 2.2. gcc 4.8.3 is now supported 1.5.1:
    Fully qualified with IES versions 14.1,14.2 and 15.1. Early adopters UVM-SV 1.2 support for IES (please read RELEASE_NOTES.txt under ml directory for more details). UVM-ML tcl commands are now available from Specman with all supported simulators. UVM-ML tcl commands are renamed (they all start with uvm_ml prefix, followed by a space and the command name, e.g uvm_ml print_tree). The old names are still supported. Pre-compiled UVM-SC parts for IES were eliminated. Examples are enhanced and extended. Updated the Backplane API version number. New debug commands in IES to print the UVM-ML tree, port connections, and port registrations. Brand-new documentation including User Guide, Reference Manual and more. Support for IES reset in UVM-ML environment. Support for sharing uvm_events and uvm_barriers between UVM-SV and UVM-SC. Support for +UVM_TESTNAME in all simulators and languages. Passing tlm_generic_payload transactions via analysis ports. Several ASI SystemC enhancements: Automated synchronization, ML-registering of SC TLM2 sockets. Reorganized examples to make them more useful. Enhanced and simplified installation and setup. 1.5:
    Fully qualified with IES versions 13.2, 14.1, and 14.2. "Phase Debug" feature, for setting breakpoints at the beginning or end of UVM-ML phases (see the Integrator User Guide for details). Currently this works only for IES. Added support for the generic UVM SV syntax, uvm_config_db#(T), so that it now works also for ML configuration Improved the way to run the demo examples and to learn how to run UVM-ML Reduced the amount of ML enabling modifications introduced into the local version of UVM-SV (1.1d), by enhancing the UVM-SV adapter implementation 1.4.4:
    The e macro uvm_ml_stub_unit now directly sets unit attributes hdl_path() and agent(), thus saving the user a need to add auxiliary string fields Improved the handling of UVM-ML bitness (once users select 32 or 64 bit mode, the library and all examples will run in that mode) Enhanced sequence layering capabilities Enhanced the test_env.csh script to provide more validity testing of the user's environment and to provide better suggestions how to fix issues irun_uvm_ml.*.f option files were reorganized (including a name change): IES irun invocation options were grouped into several option files, reflecting the usage context, and adding comments to clarify their meaning This release might require some changes on the user's code while upgrading to this version, see details in the release_notes.txt” 1.4.2:
    Fully qualified with IES version 14.1 Enables usage of Cadence UVM extensions on top of UVM-ML OA Support for UVM ML configuration tracing on the SV side, activated by the +UVM_CONFIG_DB_TRACE command-line option Added new backplane API functions enabling the time notification (wakeup) service and updated the backplane API version number Updated the sequence layering examples. The code is simplified and type conversion using mltypemap is demonstrated Eliminated the UVM SV warnings Mechanism to recognize whether OSCI was compiled with pthreads and compile the custom sc_simcontext.cpp accordingly 1.4.1:
    New examples showing basic TLM communication Default installation is 32bit instead of 64bit Setup and install scripts renamed UVM-SC has been updated with a standalone phase controller that can run through the common and UVM phases. In addition user defined schedules, which can be synchronized with the standard UVM phases, are supported as well. Enhanced UVM-SC to support run_test() in the SC-standalone mode (not collaborating with other frameworks) Methodology and examples for sequence layering across languages Enhancements in how unified hierarchy works 1.4:
    Support for uvm-1.1d (in place of uvm-1.1c) Addition of a portable UVM-SC adapter. Simulator independent and tested to run on several simulators Architected to be highly modular and extensible A new architecture providing a Backplane that connects Frameworks (where Frameworks can be of different languages or methodologies) Three examples of language frameworks are provided: UVM-SV, UVM-e, UVM-SC Enables creating a unified hierarchy of components of different frameworks 1.2.2:
    Multi-Language configuration Support of TLM1 and TLM2 communication between all the provided frameworks Enhanced synchronization of test phases and delegation of phasing control to a designated framework

    4,275 downloads

    15 comments

    Updated

  4. Appnote: Migrating from OVM to UVM-1.0

    Migrating environments from OVM to UVM is largely a matter of changing Os to Us. However, functional changes to phasing and configuration, along with the deprecation string based sequence library necessitate some changes to an OVM environment to make it run with UVM-1.0. This document describes how to migrate to UVM-1.0, but this document does not go into any deep discussion on new UVM-1.0 features.

    3,550 downloads

    0 comments

    Submitted

  5. Building UVM Register class environment for Serial Protocols

    UVM user guide explains in detail how a model is integrated for a parallel interface. This paper discusses the use of UVM register classes when verifying register accesses through a serial port (e.g., JTAG) on the DUT.

    3,330 downloads

    0 comments

    Submitted

  6. UVM Book Examples - A Practical Guide to Adopting the Universal Verification Methodology (UVM) Second Edition

    Hello,
    This contribution includes the updated examples for the second edition of the UVM Book: A Practical Guide to Adopting the Universal Verification Methodology (UVM) Second Edition.
    Enjoy!
    Kathleen Meade and Sharon Rosenberg

    2,755 downloads

    5 comments

    Submitted

  7. UVM Compliance Checklist

    This document introduces UVM compliance checks defined for UVM verification environments. The compliance checklist was requested by corporations and UVM users wishing to ensure consistency, similar user experience, and compliance to the official UVM SystemVerilog User Guide and concepts. Static commercial tools such as DVT allow forcing these checks on user environments

    The checks are divided into several categories:
    * Packaging and Name Space Compliance Checks

    * Architecture Compliance Checks

    * Reset and Clock Compliance Checks

    * Checking Compliance Checks

    * Sequences Compliance Checks

    * Messaging Compliance Checks

    * Documentation Compliance Checks

    * General Deliverables Compliance Checks

    * End of Test Compliance Checks

    * UVM-SV Specific Compliance Checks

    For comments or questions please use the forums or send an email to uvm_contributions@cadence.com 

    2,593 downloads

    0 comments

    Submitted

  8. Cadence UVM_RGM2.7.5 release

    UVM_RGM2.7.5 is the UVM version of the Cadence Register and Memory package that has been tested by multiple users on all major commercial simulators. 
    Bug Fixed:  


    Fixed issue with backdoor read for special read fields  Fixed issue with sync for special read fields Guarded exclude names with empty string match Fixed filtering by breaking immediately when condition matches
    Walking one built-in-seq did not create the regOp when writing. Mode based register enum field macro having wrong case statement Typo in DPI file (vhpiHandleT changed to vpiHandle) Check for address overlap for indirect / shared and mode-based corrected
    Modified the burst rd-wr testcase to have response Include / exclude addresses, get_config_object issue with reference handle pass  
    Enhancements: Added support for mode based registers having separate storage Added stand-alone examples for mode-based registers Fixed the typo in sequence macro file when it error Shared register treated as RW register when filtering using condition Shared-indirect register not handled correctly by built-in-sequences Missing clone bit in get_config_object of address_range in sequence library

    1,743 downloads

    1 comment

    Submitted

  9. PW UVM Scoreboard Version 1.0

    The scoreboard package is a ready-to-use utility for verifying
    data integrity in an UVM Testbench.
    The package is UVM compliant. It is suitable for UVM-compliant UVCs and environments.
    This version of scoreboard supports the following:
     o Scoreboarding through TLM interfaces
     o Scoreboarding through procedural interfaces
     o On the fly multiple stream support
     o Both in-order and out-of-order checking
     o Creation of complex DUT-specific transfer functions
     o Timeout checking
     o Hooks for error handling
     o Extendable
     

    1,672 downloads

    1 comment

    Submitted

  10. UVM Connect - a SystemC TLM interface for UVM/OVM - v2.2

    UVM Connect is a package providing complete SystemC interop support for SystemVerilog UVM/OVM via TLM1/TLM2 to easily integrate models in either language, supports any compliant simulator, and works with both UVM and OVM.  Donated to Accellera by Mentor Graphics.

    The UVM Connect package builds on existing standards: SystemVerilog, SystemC and UVM, allowing TLM models in each language to communicate with each other.  The package also includes an API that allows SystemC to interact with, and control the execution of, UVM testbenches.
    With version 2.2, the UVM Connect package supports OVM as well as UVM, preserving an easy migration path for SystemC elements when the time comes to migrate from OVM the UVM.
    Who would use UVM Connect?

    The UVM Connect package enables a variety of use models as Verification IP developed in one language can be used by the other:
    reuse of SystemC models as reference models in SV using SystemC virtual platforms with SV RTL hardware descriptions integration of off-the-shelf VIP in either language using TLM1, TLM2, and Analysis Ports using SystemVerilog random stimulus or UVM sequences with a SystemC platform How is the Connection implemented?
    UVM Connect is open and standards-based.  It is implemented as a SystemVerilog package and a SystemC namespace.  These packages contain function calls that allow transactions to be passed between the two languages, using the SystemVerilog Direct Programming Interface (DPI), that enables SystemVerilog to make and accept C function calls.
    The API supports: one-line SV/DPI/SystemC socket connection mechanism for TLM1, TLM2 and Analysis Ports easy transaction conversion support, built-in Generic Payload support command API for interaction or control of the UVM testbench Compatibility:
    The UVM Connect package is compatible with any simulator, using the IEEE 1800 SystemVerilog and IEEE 1666 SystemC standards.  It has been tested by several verification teams in the industry and can accommodate various inter-language instantiation schemes.

    Support, training, documentation available at Verification Academy
     

    1,332 downloads

    2 comments

    Submitted

  11. Simple UVM 1.1 UVC template generator - v1.10

    Updated with a few bug fixes.  Two minor new features added with v1.10:
    -use_seqr : by default, no sequencer component is created, this switch willforce a custom uvm_sequencer component to be generated (not available with -one_file)
      -one_file : generate simple uvc in single file - for building small examples  

    1,259 downloads

    0 comments

    Submitted

  12. UVM 1.1 Doxygen HTML API

    This is the UVM 1.1 Doxygen API specification in HTML format.
    It is lightweight and easily browsable.
    Pls start from index.html.
    Roman.D.W@Freescale.com

    1,235 downloads

    0 comments

    Submitted

  13. Cadence UVM_RGM2.6.1 release

    UVM_RGM2.6.1 is the UVM version of the Cadence Register and Memory package that has been tested by multiple users on all major commercial simulators.
    Bug Fixed:

    Fixed issue with syncing to VHDL. Register overlap check error with end address Backdoor read of register fields was not properly masked Filtering of registers having unknown value is now only for rd_all regs seq Enhancements:
    Allowed backdoor write to read-only fields Allowed register's reset value over-ride using plusArgs Added register array delete at the end of built-in-seq Added support field-level backdoor access for shared register Modified shared_reg_backdoor example and added ipxact file Removed all uvm deprication warnings from examples Added support for VHDL backdoor std_ulogic_[ports |signals | vectorSignals] Modified all headers of XML files to get schema from http Added objection to built-in-sequences Added a global field to mask-out comparison of all non-read-write fields Added a global field to enable warning when accessed address is outside container

    1,197 downloads

    0 comments

    Submitted

  14. UVM-Ref-Flow - SoC kit originally from Cadence, modified to work with VCS, Questa & IUS

    Fixed few enum type-cast issues. Moved around the file ordering as needed by compilers. Added extra target for Riviera-Pro
    Fixed few issues in reg_models.
    Added Makefile targets for all 3 major EDA tools
    Steps to use
    -----------
    tar xvfz uvm_ref_flow_2014.02.tgz
    cd run_dir
    make vcs
    make qsta
    make cdn
    make rvra

    1,010 downloads

    3 comments

    Updated

  15. Versatile UVM Scoreboard

    A generic UVM Scoreboard architecture supporting multiple models, packaging abstract queues and compare methods.

    950 downloads

    7 comments

    Updated

  16. A methodology for stacking UVCs

    This contribution describes a "simple" methodology that allows for creating UVM Verification Components (UVCs) that have the flexibility to optionally be connected to other UVCs. This methodology helps promote easier vertical and horizontal reuse.  This topic was presented at Accellera Systems Initiative Day at DVCon in the UVM: Ready, Set, Deploy session.
    The contribution includes:
    (1) A stacking UVC UVM example that runs with the any of the 3 big EDA vendor simulators
    (2) A paper called "StackingUVCs.pdf" that describes the methodology and the accompanied example in detail
    To use:
    >>tar xvf stack_example.tgz
    Then read the "SIMPLE_README.txt" file for information on running the example and read the "StackingUVCs.pdf" for details on the methodology and a description of the example.

    For any issues or if you would like to learn more about Paradigm Works UVM methodlogies contact us at: pw-support@paradigm-works.com.
     

    836 downloads

    0 comments

    Submitted

  17. Linear PCM integrated example test bench 0.5

    A simple integrated example test bench for people who want to learn UVM.
    This is pre-alpha, unreviewed and buggy code. If you use this as a basis for anything other than reviewing it for bugs then you're on your own.
    If you tape-out something based on this you will waste time and money.
    See the README for more information

    764 downloads

    0 comments

    Submitted

  18. Simple perl based UCV buider

    I have uploaded a copy of my OVM template genarator updated for UVM.  Basically, I changed all OVM/ovm strings to UVM/uvm.  You will need to set the environment variable UVMHOME to use the generated "jrun" script.  You can email me with any Q's - my email is in the perl script.  The simplest way to run:
    perl juvb.pl
    cd base/examples
    setenv UVMHOME <path to UVM install>
    jrun
    or
    perl juvb.pl template=cdn_yapp_uvc.tpl (or you own custom template).
    It supports only basic int datatypes in the sequence item class.
    It's only intended to get you started and "over the hump".  It's
    not intended to replace the official template generators.
    Happy UVMing....

    743 downloads

    0 comments

    Submitted

  19. Cadence Reset Example and Package

    A small package illustrating a method to perform
    UVC resets in UVM without phasing interaction.
    The package includes 4 examples and documentation showing the package in action
    /uwe

    728 downloads

    1 comment

    Updated

  20. UVM 1.0EA API Specification in Javadoc format

    The Javadoc format for documenting API is well established in the software world, so why not use it for SystemVerilog? It is a pretty simple format and it allows quick access to the API spec, especially when you need something fast.
       It doesn't supplement user guides, but it is lightweight and easily browsable. It starts from the assumption the underlying code is well commented. Which seems to be true for the UVM lib.
      Needless to say, if you use an IDE (like DVT - www.dvteclipse.com), the API spec is presented inline with the code, so you don't have to open/switch to the API docs while editing.
       Still, it is good to have the API at hand, so here it is.

    707 downloads

    0 comments

    Submitted

  21. Multi-language example: SC reference model in UVM SV testbench

    This example shows how to integrate a SystemC reference model into a UVM SystemVerilog testbench. The connections between SC-SV are done using TLM-1.0 and the multi-language library from Cadence.

    686 downloads

    0 comments

    Submitted

  22. UVM / OVM Harness Whitepaper 1.2

    This update adds a section that addresses how to connect harnesses to arrays of sub-modules in a virtual harness.
    Harnesses are a proven methodology to hierarchically reuse interface connections from the block to the chip level.

    Included in the package are instructions for both UVM and OVM test benches.

    667 downloads

    0 comments

    Updated

  23. Code examples for parameterized interfaces

    Code examples that demonstrate the problems that parameterized interfaces present for reuseable VIP design and ways to overcome these limitations.

    663 downloads

    0 comments

    Submitted

  24. UVM Integration with SystemC TLM2

    This contribution contains a small, working example that demonstrates a general purpose, scalable approach for integrating UVM-SV and UVM-e models with SystemC TLM2 models.
    Please see the PACKAGE_README.txt file and the uvm_tlm2_integration.pdf slides within thekit for further information on this example.
    Full source code and run scripts are provided within the kit.

    662 downloads

    0 comments

    Submitted

  25. UVM 1.1 template generator - version 1.09

    Version 1.09. Cleaned up version.  Added a README.  -h (-help) and -t (-template) made a tiny bit smarter.  

    659 downloads

    0 comments

    Submitted


×
×
  • Create New...