Jump to content

Relationship between PSEL and PENABLE signals in the APB Protocol.


Recommended Posts

Hello All, 

I have some doubts related to the relationship between PSEL and PENABLE signals in the APB Protocol. The specification informs that:

The PENABLE signal is asserted the following clock after PSEL is asserted and de-asserted after a transfer takes place.

I would like to understand about the following conditions:

1) Can PENABLE toggle while PSEL is de-asserted?

2) Can PENABLE be asserted in the IDLE and/or SETUP phase?

3) Can PSEL go log in to the SETUP phase?

4) What happens when PSEL is asserted high in the ACCESS phase and PENABLE is not de-asserted?

 

Thanks

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...