Jump to content

SystemVerilog checkers. simulator support. usage in a UVM environment


Recommended Posts

Q1) How well do the major simulators support SystemVerilog checkers (1800-2012.pdf Section 17.)?

Q2) In (the) UVM, do you think there is a place for checkers?

 

Context)  We have VHDL rtl.   For the data-interface between modules ABC and XYZ, we want to consolidate our protocol checking.  

case1) ABC testbench.  We now have the protocol checking in the sv interface which ABC and XYZ share

case2) XYZ testbench.               the same

case3) Top level testbench (testing DUT which instantiates ABC and XYZ).  Either we have to move the protocol checking to a module which is bind-ed to the VHDL.  Or, we can bind the sv interface to the ABC-XYZ connection, to reuse the protocol checking of that interface.

 

Without using a macro or `include of the protocol checking code, I'd like to just have a package or some place where we store the protocol checking code and can reuse it, whether in an sv interface, or a module which we bind to the VHDL.  Looking into this, and researching putting assertions into packages, I discovered checkers.  Hence this line of questioning.

 

 

Please share your thoughts and experience. 

 

 

Link to comment
Share on other sites

Thanks, Alan.  I tried a simple example and discovered that checkers aren't supported in irun 12.2.

 

I don't know about the other simulators, and suppose that time will tell whether the gurus that prescribe style/best-practices will find a place for checkers in the UVM.

Link to comment
Share on other sites

  • 2 years later...
  • 4 months later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...