Jump to content

m_parent in ubus example


Recommended Posts

  • 1 month later...

Hi There,

As I understand.

Based on the layering hierarchy graph of ubus example, Each instance is a node connected to others to form the graph. Hierarchy is overlaid with m_parent.

The m_parent in the ubus_master_monitor points to ubus_master_agent instance in the ubus_env.

Hope this help you~

Link to comment
Share on other sites

Hi There,

As I understand.

Based on the layering hierarchy graph of ubus example, Each instance is a node connected to others to form the graph. Hierarchy is overlaid with m_parent.

The m_parent in the ubus_master_monitor points to ubus_master_agent instance in the ubus_env.

Hope this help you~

Hi Roman,

thanks for your reply.

1) does this mean if I see m_parent in monitor, then I know it points to env?

2) does this mean m_parent is a "default defintion" in UVM?

thanks

Link to comment
Share on other sites

Avoid using any undocumented variable or method, especially if prefixed with "m_". Since SystemVerilog does not support friend classes, they are public for implementation reasons. They are not part of the standard and are subject to removal/renaming/modification.

Use uvm_component::get_parent().

Link to comment
Share on other sites

thanks for this information. Is there any other "code" which is not supported by standard but appear in the example?

Hi,

In the ubus example: assign_vif function is used.

But this approach is not recommended because of lack of reuse when hierarchy is changing from project to project.

I recommend to use the uvm_config_db utility to transmit the vif or cfg obj crossing the hierarchy.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...