Jump to content

uvm_rgm_2.7 won't compile


wavy

Recommended Posts

I am trying to run rgm_2.7 demo.sh with Incisive 10.20-s073 and get the following compilation error:

Compiling UVM package (uvm_pkg.sv)

file: /tools/uvm/uvm_rgm_2.7/sv/uvm_rgm_pkg.sv

printer.m_scope.down("tags" );

|

ncvlog: *E,FAABP1 (/tools/uvm/uvm_rgm_2.7/sv/uvm_rgm_base.sv,97|27): task, function, or assertion instance does not specify all required formal arguments [10.2.2][10.3(IEEE)].

printer.m_scope.down("tags" );

|

ncvlog: *E,FAABP2 (/tools/uvm/uvm_rgm_2.7/sv/uvm_rgm_base.sv,97|27): Formal argument 'obj' is absent in the task or function call or assertion instance identified by the previous error message [10.2.2][10.3(IEEE)].

printer.m_scope.down(get_name() );

Is there a UVM verision dependency I missed somewhere?

Thanks

Wavy

Link to comment
Share on other sites

  • 2 months later...

The UVM register and memory package ( uvm_rgm) models the behavior of memory and registers in a design and contains built-in mechanisms for efficient verification and modeling.

Some of the main benefits of the uvm_rgm are:

● Open Source solution built on standards

● Scalable for large systems

● Reusable register models and configuration sequences

● Leverages proven UVM concepts such as active and passive operation modes, factory for extensions, configuration mechanism, and built-in field automation

● Designed for mixed-language operation

Link to comment
Share on other sites

hi,

uvmreg(the register model in uvm) and uvmrgm(the cadence register model) both address the same functional areas. there are overlaps and there are differences between the two. also to note is that the uvmrgm register model has its root in ovmrgm which has been brought forward to uvm. so its not a new model its rather continued support for an existing package for customers.

with respect to the technical details it really depends upon your use model and required features if you see a difference or not, or if your required features are already covered with uvmrgm.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...