abhishekyadav123 Posted September 23, 2011 Report Posted September 23, 2011 Hi All UVM Geeks, Guys I came across with a statement that says - "UVM sequences can call other sequences". I think that simply means - Few simple sequences can be used to compose complex sequences. Somehow, I m not able to find an example which can actually demonstrate this behavior. Can some one explain how this can be done. Regards, Abhishek Quote
dave_59 Posted September 23, 2011 Report Posted September 23, 2011 See http://verificationacademy.com/uvm-ovm/Sequences/Virtual and http://www.mentor.com/products/fv/events/sequence-layering Quote
abhishekyadav123 Posted September 26, 2011 Author Report Posted September 26, 2011 Thanks Dave, Thanks for the quick reply. I don't have access to the verification academy link. Also the video link on sequence layering was not working for me either. Quote
uwes Posted September 29, 2011 Report Posted September 29, 2011 (edited) hi, maybe its too simple :-) uvm_do* does items and sequences ... /uwe class simple_seq_do extends uvm_sequence #(simple_item); function new(string name="simple_seq_do"); super.new(name); endfunction `uvm_object_utils(simple_seq_do) virtual task body(); `uvm_info(get_name(), $sformatf("In body() of %s", get_name()),1000) `uvm_do(req) endtask endclass : simple_seq_do class simple_seq_sub_seqs extends uvm_sequence #(simple_item); function new(string name="simple_seq_sub_seqs"); super.new(name); endfunction `uvm_object_utils(simple_seq_sub_seqs) simple_seq_do seq_do; virtual task body(); `uvm_info(get_name(), $sformatf("In body() of %s", get_name()),1000) #100; `uvm_do(seq_do) endtask endclass : simple_seq_sub_seqs EDIT: uvm_sequence_utils are deprecated - changed to uvm_object_utils Edited September 30, 2011 by uwes Quote
abhishekyadav123 Posted September 29, 2011 Author Report Posted September 29, 2011 Thanks, This is exactly what I was looking for. Quote
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.