Jump to content

Recommended Posts

Posted

Hi All,

i am trying to run UVM on Questa 10.0beta2 (Windows) and have run into internal error issue which seems to be due to incorrect usage (commands used are specified below)

#vlib work

#vlog -f compile_questa_sv.f

# QuestaSim vlog 10.0 Beta 2 Compiler 2010.10 Oct 24 2010

# -- Compiling package uvm_pkg

# -- Compiling interface dut_if

# -- Compiling module dut

# -- Compiling package my_pkg

# -- Importing package uvm_pkg

# -- Compiling module top

# -- Importing package my_pkg

#

# Top level modules:

# top

vsim -do vsim.do -c -suppress 3829 top +UVM_TESTNAME=my_test +UVM_VERBOSITY=UVM_HIGH -l rc.log

# vsim +UVM_TESTNAME=my_test +UVM_VERBOSITY=UVM_HIGH -do vsim.do -l rc.log -c -suppress 3829 top

# ** Note: (vsim-3812) Design is being optimized...

# ** Error: C:\Users\RC\Documents\UVM\uvm\src/uvm_pkg.sv(37): Internal error: ../../../src/vlog/vgenexpr.c(7827) len <= 2

# ** Error: C:\Users\RC\Documents\UVM\uvm\src/uvm_pkg.sv(37): Vopt Compiler exiting

# Error loading design

Where compile_questa_sv.f is

---------------------------------------------------

+incdir+$UVM_HOME

${UVM_HOME}/uvm_pkg.sv

top.sv

---------------------------------------------------

I tried to use various suggestion like Add +define+UVM_NO_DPI, +UVM_USE_OVM_RUN_SEMANTIC, ${UVM_HOME}/src/dpi/uvm_dpi.cc etc without success.

Let me know if you have any Idea/Suggestion.

--

RC

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...