Jump to content

Regarding the UVM field automation macros


Recommended Posts

Hi,

 

We are planning to use UVM for full chip level verification. Some of the papers and posts recommending against the usage of field automation macros.

Are these macros improved in latest version of UVM (UVM1.1d) for simulation performance and amount of code they generate? Can we use them now?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...