Jump to content

Which simulator give full support to the UVM methodolgy?


Recommended Posts

Hi Veeramuthu,

Think already Questa will be supporting bcoz its there OVM base class modified into this UVM BCL, so ultimately they will support full UVM capability!! and so do the Cadence!!

Since for one of our OVM TB we gave a run converted to UVM and it was running fine with Questa!!

Nor sure with Synopsys VCS whether they support this UVM EA1, but soon they will be too as this is a combination of the Gaints :-)

Thanks,

Desperado --> --> -->

Link to comment
Share on other sites

Hi Veeramuthu,

All three of the simulation vendors you mentioned support UVM today. Rather than speak for them all, I'll just put links for their statements.

Synopsys: http://www.vmmcentral.org/vmartialarts/?p=1395

Mentor: http://blogs.mentor.com/verificationhorizons/blog/2010/05/17/accellera-omnimodus-verification-methodology/

Cadence: http://www.cadence.com/Community/blogs/ii/archive/2010/05/17/uvm-1-0-ea-is-available-what-this-means-to-you.aspx?postID=62148

=Adam Sherilog, Cadence

Link to comment
Share on other sites

Hello,

Which simulator give full support to the UVM methodolgy?

As Adam has pointed out all 3 major EDA vendors have qualified it some extent and certainly are on the positive mood to support it. This is GREAT news indeed. BTW, Aldec's Riviera-Pro also support OVM in Beta form and we have internally managed to run few basic stuff on UVM as well. You will need their latest version though.

whithout any vendour specific interoperable library.

This is slightly debatable as many vendors go beyond the basic language/methodology support to provide their customers value-added features such as Debug, Cov visualization etc. Some of the advanced capabilities will require custom code be inserted into the base class to make it smooth.

So in principle the UVM base code is 100% compatible across tools with each vendor offering added capabilities via `ifdef etc.

FWIW - I'm not aware of any tool doing it just yet for UVM (it is too new for that), but expect that to happen sooner than later.

Regards

Srini

www.cvcblr.com/blog

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...