Jump to content

tinku

Members
  • Posts

    5
  • Joined

  • Last visited

tinku's Achievements

Member

Member (1/2)

0

Reputation

  1. As Tudor said we need to we shouldn't use write_valid in disable iff if it is synchronous value. write_valid and write_data are array then I would use following code: property p_write_valid_no_unknown_write_data(a_write_valid,a_write_data); @(posedge clk) disable iff (!as_rst_n) (a_write_valid) |->!$isunknown(a_write_data); endproperty genvar i; generate for(i= 0; i<=ARRAY_SIZE_i=i+1) begin: write_valid_write_data ast_write_valid_write_data: assert property (p_write_valid_no_unknown_write_data(write_valid[i],write_data[i]) else $error($psprintf("ASSERTION_ERROR:write_valid[%0d]: write_data:%0h",i, write_data[i)); end endgenerate
  2. Hi, Can we use Sequencer arbitration on the Virtual sequencer ? If so, how does this arbitration affect the sub_sequencers? Also, Can someone please clarify? The UVM sequencer has six arbitration modes: • UVM_SEQ_ARB_FIFO (default) • UVM_SEQ_ARB_RANDOM • UVM_SEQ_ARB_STRICT_FIFO • UVM_SEQ_ARB_STRICT_RANDOM • UVM_SEQ_ARB_WEIGHTED • UVM_SEQ_ARB_USER Regards, Santosh
  3. Hi, I want to enable/disable specific of messages from Environment. Instead of setting it at every component level by using set_report_id_verbosity . Is there anyway from UVM_TOP can I control same and also using wildcard for setting report_id? For example: UVM_TOP.set_report_id_verbosity("AXI_DRIVER_MESSAGES",UVM_LOW); (or) UVM_TOP.set_report_id_verbosity("AXI_*,UVM_LOW); Also suggest me best way to achieve this. Regards, Santosh
  4. Hi, We have to use multiple bfm driver to regmap and at same times we don't want to change UVM API's for example: uvm_write uvm_read But I see that in connect stage regmap default sequencer is set to one of them BFM sequencer? How can we make regmap to use two sequencers and use uvm API's at same time? Regards, Santosh
  5. Hi, We have to use multiple bfm driver to regmap and at same times we don't want to change UVM API's for example: uvm_write uvm_read But I see that in connect stage regmap default sequencer is set to one of them BFM sequencer? How can we make regmap to use two sequencers and use uvm API's at same time? Regards, Santosh
×
×
  • Create New...