Jump to content

uvm_random_stimulus usage


Recommended Posts

Hi,

Your thread is not clear to me,But

According to my understanding about your post; You have put port in your component and trying to get from it in the same component;

For this; In your component class or in a higher class connect_phase do the following;

function void connect_phase(uvm_phase phase);

my_component.out.connect(my_fifo.blocking_put_export); //out is the put port

my_component.in.connect(my_fifo.blocking_get_export); // in is the get port

//////OR YOU CAN USE THIS WAY ALSO///

my_component.out.connect(in); //This is OK when there is no port interdependency between other components

endfunction

Hope this may help you. If not so, Just share in detail about your problem?

Thanks,

Regards,

Mahee.

Link to comment
Share on other sites

Hi kumarv. This is a great question. This class does indeed look very VMM-ish. I haven't used the class before, but I'd like to see if I could use it as my test case somehow (that seems to be the usage model), though test cases should be extended from uvm_test, not from uvm_random_stimulus. I'll play around with it.

Link to comment
Share on other sites

  • 3 years later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...