Jump to content

Can SV detect integer variable's changing


Recommended Posts

You'll need to show more context. Is this code itself inside another procedural block? Event controls in front of statements behave like a separate statement, and should be read as "wait for a change on 'a', then execute the statement that follows.

task A; // or begin

@(a) // wait for a change on a

do_function0;

do_function1;

endtask // or end

In a procedural block of code, each statement is executed when the previous statement completes.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...