felixsh Posted August 27, 2012 Report Share Posted August 27, 2012 Hello, We have an error in Cadence with UVM compilation of Hello World example, ncsim: *F,NOLWSV: Searching for import subroutine "uvm_hdl_deposit" in default library libdpi. Unable to load the default library libdpi Can you help us with solving the problem? Bicspoili 1 Quote Link to comment Share on other sites More sharing options...
KathleenMeade Posted September 4, 2012 Report Share Posted September 4, 2012 Hello, I'm not sure what issue you are having without more details. Can you let us know which version of IUS you are running? Also - if you have set UVM_HOME to something? The easiest way to run is to try this: % irun -uvm hello_world.sv Does that work? Kathleen Quote Link to comment Share on other sites More sharing options...
uwes Posted September 10, 2012 Report Share Posted September 10, 2012 this only happens if you compile in the old 3step mode (ncvlog/ncelab/ncsim) and you miss to supply the shared libraries (either the precompiled libuvmdpi.so, libuvmpli.so) or if you compile the dpi code yourself and forgot the -sv_lib ..../yourlib.so (btw the right set of switches are in another post here in the forum, search for "loadpli") much simpler is the invocation using "irun -uvmhome <your-uvm> ...." /uwe Quote Link to comment Share on other sites More sharing options...
George Posted June 20, 2013 Report Share Posted June 20, 2013 Hello, I am using the 3step mode (ncvlog/ncelab/ncsim). I am also getting this same error. I understood the addition of the precompiled libuvmdpi.so. I am not adding the libuvmdpi.so because I am compiling the full source code of "uvm_pkg" . Is it mandatory to add the libuvmdpi.so even if I am compiling the full source for "uvm_pkg" ? Quote Link to comment Share on other sites More sharing options...
George Posted June 20, 2013 Report Share Posted June 20, 2013 Well, that particular error is gone when I defined the following +define+UVM_NO_DPI check this file for reference: uvm-1.1d/src/dpi/uvm_dpi.svh I guess the function uvm_hdl_deposit has to do something with C library. No sure if the define will cause some other example not to work. Thanks Quote Link to comment Share on other sites More sharing options...
uwes Posted July 4, 2013 Report Share Posted July 4, 2013 depending upon your uvm version you have to add libuvmdpi.so ( and eventually libuvmpli.so ) since they contain the precompiled uvm dpi c-code. if you compile this code yourself then you dont have to supply the libraries. /uwe Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.