EugenueY Posted July 16, 2012 Report Share Posted July 16, 2012 Hello everyone. We are new in UVM. We are trying to implement UVM library on Cadence and are trying to compile first simple project. UVM_HOME variable is set, UVM compiled. `include "uvm_pkg.sv" module hello_world_example; // Import the UVM library and include the UVM macros import uvm_pkg::*; `include "uvm_macros.svh" initial begin `uvm _info ("info1","Hello World!", UVM _LOW) end endmodule: hello_world_example And we get this error: import uvm_pkg::*; | ncvlog: *E,MULTPK (hh.sv,6|17): Multiple (2) packages named "uvm_pkg" were found in the searched libraries: -> found verilog_package worklib.uvm_pkg:verilog_package (VST) -> found verilog_package worklib.uvm_pkg:sv (VST). How can I avoid this error?? Quote Link to comment Share on other sites More sharing options...
KathleenMeade Posted July 16, 2012 Report Share Posted July 16, 2012 Hello Eugene, Your example includes the UVM library and you are probably also using -uvm or -uvmhome on the command line. So the package is getting compiled twice. It should work if you comment out the 'include line. Kathleen Quote Link to comment Share on other sites More sharing options...
uwes Posted July 16, 2012 Report Share Posted July 16, 2012 hi, yes, as kathleen suggested remove the "include uvm_pkg" and either put it on the cmdline or use simply "-uvm" which does that under the covers. Quote Link to comment Share on other sites More sharing options...
EugenueY Posted July 16, 2012 Author Report Share Posted July 16, 2012 Thank you for answering. Now the file is like this module hello_world_example; // Import the UVM library and include the UVM macros import uvm_pkg::*; `include "uvm_macros.svh" initial begin `uvm _info ("info1","Hello World!", UVM _LOW) end endmodule: hello_world_example using irun -uvm hh.sv and receiving the same output file: hh.sv import uvm_pkg::*; | ncvlog: *E,MULTPK (hh.sv,5|17): Multiple (2) packages named "uvm_pkg" were found in the searched libraries: -> found verilog_package worklib.uvm_pkg:verilog_package (VST) -> found verilog_package worklib.uvm_pkg:sv (VST). import uvm_pkg::*; Again we are stuck with this problem ... Quote Link to comment Share on other sites More sharing options...
uwes Posted July 16, 2012 Report Share Posted July 16, 2012 and remove the INCA_libs directory. i assume the old stuff is still in there /uwe Quote Link to comment Share on other sites More sharing options...
EugenueY Posted July 16, 2012 Author Report Share Posted July 16, 2012 And now Thank you!! This problem is solved! Best regards. Quote Link to comment Share on other sites More sharing options...
arjunmenonk Posted September 24, 2020 Report Share Posted September 24, 2020 thanks a lot dear friends. it worked Quote Link to comment Share on other sites More sharing options...
prase Posted December 16, 2021 Report Share Posted December 16, 2021 Hi, I am not able to open any of the included files, in all my packages for all my files. [ERROR] /var/vob/ctrx/ctrx44/vob/units/ctrx_tb_dig/source/sv/tb/abeam/demo_examples/abeam_opamp/opamp_testbench/opamp_sv/opamp_tb_pkg.sv(21): ERROR:cannot open include file opamp_scoreboard.svh (VERI-1245) [ERROR] /var/vob/ctrx/ctrx44/vob/units/ctrx_tb_dig/source/sv/tb/abeam/demo_examples/abeam_opamp/opamp_testbench/opamp_input_uvc/input_agent_pkg.sv(14): ERROR:cannot open include file opamp_data_packet.svh (VERI-1245) Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.