Jump to content

UVM verification... illegal assignment


Recommended Posts

Hello , 

Iam trying to verify the router design ...but getting error as follows.

** Error: (vsim-7065) Illegal assignment to class work.router_pkg::router_wr_seqr from class mtiUvm.uvm_pkg::uvm_sequencer #(class work.router_pkg::write_xtn, class work.router_pkg::write_xtn)

# Time: 0 ns Iteration: 0 Region: /router_pkg File: ../tb/router_tb.sv Line: 37

begin

  foreach(v_seqrh.wr_seqr[i])

    

v_seqrh.wr_seqr[i]=wr_agt_t.wr_agt[i].wr_seqr;    //error line//

  foreach(v_seqrh.rd_seqr[i])

    v_seqrh.rd_seqr[i]=rd_agt_t.rd_agt[i].rd_seqrh;

  end

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...