Jump to content

UVM_ANALYSIS port in sequence


Recommended Posts

Can I declare a uvm_analysis port in sequence ?

I get message like

Error-[iCTTFC] Incompatible complex type usage

/tools/eda/VCS/vF-2011.12/etc/uvm-1.1/tlm1/uvm_analysis_port.svh, 112

Incompatible complex type usage in task or function call.

The following expression is incompatible with the formal parameter of the

function. The type of the actual is 'class ....'

Thanks

Link to comment
Share on other sites

No, you cannot. All TLM ports must be connected before any sequences start.

You can put a port in the sequencer that you can reference from the sequence. I don't remember, but the sequencer may already have analysis ports that you can connect up that write out what is being sent to the driver.

Link to comment
Share on other sites

To call that write method, we need to declare an uvm_analysis_impl port. Is that correct.

I tried to declare an implementation port and I am getting this error

Error-[iCTTFC] Incompatible complex type usage

/tools/eda/VCS/vF-2011.12/etc/uvm-1.1/tlm1/uvm_analysis_port.svh, 112

Incompatible complex type usage in task or function call.

The following expression is incompatible with the formal parameter of the

function. The type of the actual is 'class

Thanks,

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...