Jump to content

Reduction operator in case of one-bit vector


Recommended Posts

Because of the increasing number of parametrized designs, is there a definition for the behavior of the reduction operators in SystemVerilog if the input vector is just one bit? 

module reduction
  #(
    in_c = 1
  )
  (
    input logic [in_c-1:0] i,
    output logic o1, o2, o3
  );
  assign o1 = &i;
  assign o2 = |i;
  assign o3 = ^i;
endmodule

Thanks

   Thomas

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...