Jump to content

How to use the Memory Allocation Manager


Recommended Posts

UVM1.1 provides a memory allocation manager. But its users guide doesn't tell how to use it. Who can give me an example demonstrating its usage?

Memory Allocation Manager Manages the exclusive allocation of consecutive memory locations called regions.

uvm_mem_mam

uvm_mem_region

uvm_mem_mam_policy

uvm_mem_mam_cfg

Thank you in advance.

- Louis

Link to comment
Share on other sites

  • 4 years later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...