Jump to content

Introducing wait/delay in end of elaboration of start of simulation


Nitin_S

Recommended Posts

Hello all,

This is very basic question and I know its not possible, but still I need to put some delay or wait in start of simulation/end of elaboration.

I will give you the background, In end of elaboration i an initializing the value to a pin, and that pin is quite required for reset vector address.

In start of simulation I am opening my gdb, which which stop at my reset vector address, but it seems the gdb is not updating the reset vector value, so I need a small delay.

Is it possible?

Any suggestion will be very helpful :)

Thanks and Regards,

Nitin_s

Link to comment
Share on other sites

You cannot have a delay in end_of_elaboration() or start_of_simulation() since those are callback functions outside of the (timed) simulation cycle.

One thing  you could do is to start the gdb within a SC_THREAD where you have a wait(SC_ZERO_TIME) to let the updates settle:

void start_gdb_thread(){
	wait(SC_ZERO_TIME);
	start_my_gdb();
}

BR

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...