Jump to content

QuestaSim exits automatically!


Recommended Posts

I want to print every name and id of objects when beding constructed, so i rewrite the new constrcutor of uvm_object.svh as follows:

function uvm_object::new (string name="");

m_inst_id = m_inst_count++;

m_leaf_name = name;

uvm_report_warning("CONSCTRUCTED", $psprintf("%s being constructed, ID Number is: %d", m_leaf_name, m_inst_id), UVM_NONE);

endfunction

it passes the compilation, but questasim exits Abnormally.

the log file recoder the context as follow:

# // Questa Sim

# // Version 10.0b win32 May 5 2011

# //

# // Copyright 1991-2011 Mentor Graphics Corporation

# // All Rights Reserved.

# //

# // THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION

# // WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS

# // LICENSORS AND IS SUBJECT TO LICENSE TERMS.

# //

# vsim +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test_2m_4s +UVM_PHASE_TRACE -do {run -all; q} -l questa.log -sv_lib ../../../../lib/uvm_dpi ubus_tb_top

# ** Note: (vsim-3812) Design is being optimized...

# Loading sv_std.std

# Loading work.uvm_pkg

# Loading work.ubus_pkg

# Loading work.ubus_tb_top(fast)

# Loading work.dut_dummy(fast)

# Loading .\../../../../lib/uvm_dpi.dll

# run -all

Could everyone like to give me the ways for solution?

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...