Jump to content

Testbench/design race conditions; program blocks; clocking blocks


Recommended Posts

Hi. I am a newcomer to SystemVerilog and UVM, having used

Vera for many years. One thing I'm struggling with

is testbench timing. The Chris Spear book, 'SystemVerilog for

Verification' suggests using program blocks for testbench

to avoid race conditions between design and testbench.

The VMM examples use program blocks, and clocking blocks

to control timing of stimulus. But the UVM examples don't.

They put the testbench in a regular verilog module. Also the

UVM doc has nothing to say about timing... somehow it is a non-issue.

I'm writing to ask people that have had more experience writing

UVM testbenches. Should I be worried about race conditions?

What should I do to avoid them?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...