jsree Posted May 16, 2011 Report Share Posted May 16, 2011 Hi As per the uvm_users_guide_1.0.pdf (dated Feb 23, 2011) at Page 190 on Virtual Sequencer example shows The following example declares a virtual sequencer with two subsequencers. Two interfaces called eth and cpu are created in the build function, which will be hooked up to the actual sub-sequencers. class simple_virtual_sequencer extends uvm_sequencer; eth_sequencer eth_seqr; cpu_sequencer cpu_seqr; // Constructor function new(input string name="simple_virtual_sequencer", input uvm_component parent=null); super.new(name, parent); // Automation macro for virtual sequencer (no data item) `uvm_update_sequence_lib endfunction // UVM automation macros for sequencers `uvm_sequencer_utils(simple_virtual_sequencer) endclass: simple_virtual_sequencer so when I tried the `uvm_update_sequence_lib in testebench got following error Identifier 'uvm_update_sequence_lib' has not been declared yet. If this error is not expected, please check if you have set `default_nettype to none. and 'uvm_update_sequence_lib is missing uvm_class_reference_Manual_1.0.pdf Any idea ? Jay Quote Link to comment Share on other sites More sharing options...
jsree Posted May 16, 2011 Author Report Share Posted May 16, 2011 One more point to note the testbench is compiled with +define+UVM_NO_DEPRECATED to avoid using any UVM EA stuff which deprecated in UVM 1.0.. so the document refers some EA stuff... Jay Quote Link to comment Share on other sites More sharing options...
Roman Posted May 17, 2011 Report Share Posted May 17, 2011 In next UVM release, uvm_deprecated_defines.svh should not be removed after discussed with Sharon in cadence seminar. you could also use the uvm_update_sequence_lib in 1.0 if you don't want to change. but we recommend to use the `uvm_object_utils(seq_name) &`uvm_declare_p_sequencer(sequencer_name) Quote Link to comment Share on other sites More sharing options...
uwes Posted May 17, 2011 Report Share Posted May 17, 2011 One more point to note the testbench is compiled with +define+UVM_NO_DEPRECATED to avoid using any UVM EA stuff which deprecated in UVM 1.0.. so the document refers some EA stuff...Jay yes, uvm_update_sequence_lib refers to a deprecated facility (thats why its not documented anymore) and UVM_NO_DEPRECATED removes all deprecated code from the library. to move ahead simply remove the uvm_update_sequence_lib line. /uwe Quote Link to comment Share on other sites More sharing options...
jsree Posted May 17, 2011 Author Report Share Posted May 17, 2011 Hi uwes, the UVM 1.0 user guide document should not show the deprecated macros in examples, which will create confusion. thanks Jay Quote Link to comment Share on other sites More sharing options...
Bart Posted May 17, 2011 Report Share Posted May 17, 2011 The UVM1.0 documentation is a little rough - plenty of features undocumented as well as artefacts and deprecated constructs from UVM1.0EA. Unsurprising really given the scale of the changes and the time-scales of the release. Several features were added very late in the release cycle and changes to sequences was one of these. I understand there will be a UVM bugfix release in the next month or so & I believe this will tidy up the documentation. Quote Link to comment Share on other sites More sharing options...
jsree Posted May 17, 2011 Author Report Share Posted May 17, 2011 HI Bart How to communicate this type mistakes, plus typo so that next UVM bugfix release users guide will much more cleaner .. Jay Quote Link to comment Share on other sites More sharing options...
uwes Posted May 18, 2011 Report Share Posted May 18, 2011 hi, all change requests should be filed here: http://eda.org/svdb/bug_report_page.php you may also want to check the latest user guide + ref (release candidate for uvm11) if you are an accellera member here: http://www.accellera.org/apps/org/workgroup/vip/download.php/4183/uvm_guide_1.1_ballot_may_11.pdf http://www.accellera.org/apps/org/workgroup/vip/download.php/4143/UVM_1.1_Class_Reference_for_member_review.pdf Quote Link to comment Share on other sites More sharing options...
jsree Posted May 18, 2011 Author Report Share Posted May 18, 2011 Hi Uwes Thanks. I am not a member of accellera member, so I may not be able to access this document. Jay Quote Link to comment Share on other sites More sharing options...
Bart Posted May 18, 2011 Report Share Posted May 18, 2011 Hi jsree Also from the release-notes.txt file in the UVM1.0 download:- - If you would like to file a bug, you need reporter access to Mantis. To do this please follow the cheatsheet here: http://www.accellera.org/activities/vip/Reporting_bugs_and_enhancement_requests_for_UVM.pdf uwe's link above is for the mantis system. Quote Link to comment Share on other sites More sharing options...
uwes Posted May 19, 2011 Report Share Posted May 19, 2011 Hi UwesThanks. I am not a member of accellera member, so I may not be able to access this document. Jay hi, to see the docs your company need to be an accellera member. to file a bug in mantis you do not need to be an accellera member. /uwe Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.