Jump to content

Would UVM1.0 standardize SV-SC TLM Interface? (as mentioned in UVM1.0 Raodmap)


Recommended Posts

hi,

UVM10 as accellera standard is SV only. there are no plans to include other languages or interfaces to other languages from this point of view in 1.0. however interoperability is considered important and might be a focus in a newer release of the standard. Also cadence as supplier of SC/e/SV has obviously an interest to support the main languages under one methodology roof to allow seamless integration of models despite of the language they are written in. The released UVM10ML is an extension of UVM10 and allows interoperability of SV/SC/e. Have a look at this solution which is avail here in the downloads/contribution area.

http://www.uvmworld.org/contributions-details.php?id=98&keywords=UVM_ML

Link to comment
Share on other sites

Hi Sean,

UVM-ML is supported on top of IES 10.2, you’ll need that version to run the example. Note that UVM-ML is already in the IES 10.2 release, it will be easier for you to use the library installed there rather than pointing to this open-source releases.

As to your second question: I am not familiar with TLI. What I can say is that UVM-SC and UVM-ML in this contribution are aligned with UVM and architected for the standard. This contribution is based on a similar contribution provided for OVM, which has been out there for a while.

Guy

Link to comment
Share on other sites

Hi Sean,

I'm afraid I cannot reproduce the error. IES has two examples by that name - one using the OVM library [under <IES>/tools/systemc/examples/ml_ovm/sv_test_sc_tlm10_dut], the other using the UVM library [under <IES>/tools/uvm-1.0/uvm_lib/uvm_ml/examples/sc/sv_test_sc_tlm10_dut]. Both of them *work fine* for me with the IES release I have here (10.20-s009).

I think we'll need more info from you to be able to help you debug this. Let's follow up in a smaller forum.

Thanks,

Link to comment
Share on other sites

  • 2 weeks later...

Zeevk,

Please let me know if you need other information and thanks!

1. cp -r <IES>>/tools/uvm-1.0/uvm_lib/uvm_ml/examples/sc/sv_test_sc_tlm10_dut .

2. cd sv_test_sc_tlm10_dut

3. run_irun

4. get errors below:

TOOL: irun 10.20-p008:

...

ncsc: compiling $TESTDIR/tbtop.cpp

make: *** [iNCA_libs/run.lnx86.10.20.nc/ncsc_run/ncsc_obj/tbtop.o] Error 1

"$CDSROOT/tools/uvm/uvm_lib/uvm_ml/sc/ml_uvm.h", line 18: catastrophic error:

could not open source file "tlm.h"

#include "tlm.h"

^

ncsc: Error executing: ....

ncsc_run: *E,TBBLDF: Failed to generate object ./INCA_libs/irun.lnx86.10.20.nc/ncsc_run/ncsc_obj/tbtop.o

Link to comment
Share on other sites

Hi Sean,

Are you sure you've gone through the *configuration* step of *ncsc*? It seems to be the missing step that will result in such symptoms. Please see these as examples:

http://www.cadence.com/Community/forums/p/13088/19720.aspx#19720

http://www.cadence.com/Community/forums/p/13061/19743.aspx#19743

If that still doesn't help, please file a service request with our support team at support.cadence.com.

Thanks much,

Zeev.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...