Jump to content

print internal variables of property in sva


Recommended Posts

Hii Alan ,

Thanks for the Reply.

Below is the example.

 

  property p_period;
    realtime current_time; 
    disable iff (!nreset)
    ('1, current_time = $time) |=> (clk_period == ($time - current_time));
  endproperty : p_period
 
to display current_time variable in above property ?
 
Regards,
Pavan.
Link to comment
Share on other sites

Hi Pavan,

 

You can just add a display in the first action block:

  property p_period;
    realtime current_time; 
    disable iff (!nreset)
      ('1, current_time = $time, $display("current time is ", current_time))
        |=> (clk_period == ($time - current_time));
  endproperty : p_period

You are allowed to have as many actions there as you want.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...