Jump to content

uvm_test call inside c++


Recommended Posts

Hi,

 

I have testbench in uvm environment. I want to call uvm_test from a c++ file. That will be my testcase. 

 

example: class basic_test extends from uvm_test;

 

.....

endclass

 

I want to call basic_test inside a c++ file.

 

Let me know, if you need any further information.

 

Thanks,

Satya

Link to comment
Share on other sites

You never call a class in C++ or SystemVerilog. You construct class objects and then call class methods in the context of an object.

 

I'm sure you want more interaction between the C++ code and UVM than just selecting which test gets run. You'll need to explain further.

Link to comment
Share on other sites

our DV environment is in such a way that I need a C++ file to add tests. There is no other interactions b/w c++ and uvm,except, just calling the uvm test. 

I can run the uvm tests using perl/shell scripts, but, want to support it with our current environment.

 

Let me know, if there is any way.

 

Thanks,

Satya

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...