Jump to content

Compilation error in IUS9.2


Recommended Posts

Hi,

I am getting the following compilation error in ISU9.2 for UVM where as it is working fine for Questa

class apb_sv_err_trans extends uvm_sequence_item;

|

ncvlog: *E,SVNOTY (../../package/apb_sv_err_trans.sv,31|53): Syntactically this identifier appears to begin a datatype but it does not refer to a visible datatype in the current scope.

Please suggest what can be the issue. I have already included uvm_inc.svh

Regards

Nikhil

Link to comment
Share on other sites

Hi Nikhil,

It seems from the error message that uvm_sequence_item is not defined which is defined in the uvm_pkg.

I'd check the following:

1) Which version of IUS are you using? We have tested UVM1.0EA release with IUS92s17.

2) How are you compiling your code?

You need:

$UVM_HOME/src/uvm_pkg.sv

+incdir+$UVM_HOME/src

3) Are you able to run the xbus example included in UVM with your IUS installation?

Umer

Link to comment
Share on other sites

Hi Umer,

I have already compiled uvm_pkg like

1) I am using IUS92s18

2) i am already using the following in my compile script

+incdir+$UVM_HOME

$UVM_HOME/uvm_pkg.sv

and using following run command

irun -f compile_ius.f -sv

3) currently there is only questa run script present with xbus example but when i am using irun -f compile_ius.f for xbus it is giving compilation error

irun: *E,ELBERR: Error during elaboration (Exec Failed), exiting.

Nikhil

Link to comment
Share on other sites

We use IUS 92-s017 and it runs fine. For the XBUS example a simple script like:

(Inside xbus/examples dir)
irun +UVM_TESTNAME=test_read_modify_write
-incdir ../sv
-incdir ../../../src
../../../src/uvm_pkg.sv
xbus_tb_top.sv

works for us. Nothing fancy as far I see. Maybe it is an issue with S18 build (unlikely though), but you may want to try the s17 build.

Srini

www.cvcblr.com/blog

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...