Jump to content

a question about the compiling order


Recommended Posts

Hi, all

 

      I fond the function uvm_report_error is called in uvm_object.svh when I read the UVM-1.1d source code, but the file uvm_object.svh is compiled before the file uvm_globals.svh in the file base.svh. Would you please tell me what is wrong with it?

 

      Thanks a lot in advanced!

 

Best Regards

 

QIN

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...