Jump to content

Usage of uvm_update_sequence_lib_and_item


Recommended Posts

Hi All,

I'm trying to convert an example from ovm2.0 to uvm1.0ea and getting the followingcompilation error:

** Error: ..alu_sequencer.svh(8): Failed to find name 'get_type' in specified scope.

The above error is related to `uvm_update_sequence_lib_and_item()

The Sequencer code is given below:

class alu_sequencer extends uvm_sequencer #(alu_sequence_item, alu_sequence_item);

`uvm_sequencer_utils(alu_sequencer)

function new(string name = "", uvm_component parent = null);

super.new(name, parent);

`uvm_update_sequence_lib_and_item(alu_sequence_item)

endfunction

function void build();

super.build();

endfunction: build

endclass: alu_sequencer

Can someone please tell me what am I doing wrong here?

Link to comment
Share on other sites

Hello -

I don't see anythng wrong in your sequencer code unless you have a typo? `uvm_update_sequence_lib_and_item(alu-sequence_ite SPACE m)

I've included a very simple example that ran cleanly for me(ade) on IES9.2!

//To RUN: % irun -incdir $UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv seq_test.sv

module seq_test;

import uvm_pkg::*;

`include "uvm_macros.svh"

class alu_sequence_item extends uvm_sequence_item;

rand logic [7:0] data;

`uvm_object_utils_begin(alu_sequence_item)

`uvm_field_int(data, UVM_DEFAULT)

`uvm_object_utils_end

function new(string name="alu_sequence_item");

super.new(name);

endfunction : new

endclass : alu_sequence_item

class alu_sequencer extends uvm_sequencer #(alu_sequence_item, alu_sequence_item);

`uvm_sequencer_utils(alu_sequencer)

function new(string name="", uvm_component parent=null);

super.new(name, parent);

//`uvm_update_sequence_lib_and_item(alu_sequence_ite m)

`uvm_update_sequence_lib_and_item( alu_sequence_item )

endfunction

function void build();

super.build();

endfunction : build

endclass : alu_sequencer

endmodule : seq_test

I hope this helps!

Kathleen Meade

Cadence Design Systems

Edited by KathleenMeade
Added signature information
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...