Jump to content

UVM report_phase not being executed


Recommended Posts

Hi all,

I have a couple of counters in my monitor's run_phase which I'm trying to print in the report_phase. But, the test itself is being killed (I cannot edit the file which is killing the test) which my monitor is still in the run_phase & hence the counters aren't being printed. Is there any way to enforce the report_phase to be run/ any way to call the report_phase from within the monitor file ?

Link to comment
Share on other sites

Hi,

Yes, I know how they are killing it, but I cannot access those variables as they are neither being stored in the config_db or are being exported. But, even if the sim is getting killed, why is the report_phase not being executed.

Instead, I tried one experiment, where I'm trying to store my counters in config_db in the run_phase & in some other monitor I'm trying to get them so that I can print it.

Setting in my monitor as : uvm_config_db#(int)::set(this, "counter", counter);

Trying to get the counter in another monitor's run_phase as : uvm_config_db#(int)::get(uvm_root::get(), "*", "counter", counter). But the value is being read as 0, as in why is it not getting updated.

 

 

Link to comment
Share on other sites

hello,

again - its hard to suggest something if you cannot clarify what you mean with 'kill'. this could a everything from

- killing via 'kill -9' on the os level (or via signals to the simulator)

- $stop,$finish and friends

- via calls to uvm api methods

- through phase operations/jumps

- through a message causing the simulation to end

- through a 'coded' natural end 

- through an end of event (by "killing" the clock)

- through an end caused by external code ....

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...