Jump to content

There could be an issue in `uvm_rand_send_with macro. could someone help to verify?


Recommended Posts

UVM exports,

After debug, I thought the second argument (SEQR) missed in "__seq.set_item_context(this);" which implies to set_sequencer = NULL and cause the sequence could not find its sequencer and stop. could some one verify this?

thanks!

For my case the SEQ_OR_ITEM is an uvm_sequence, I am not sure the same error happens when its an item.

`define uvm_rand_send_pri_with(SEQ_OR_ITEM, PRIORITY, CONSTRAINTS) \

begin \

uvm_sequence_base __seq; \

if (!$cast(__seq,SEQ_OR_ITEM)) start_item(SEQ_OR_ITEM, PRIORITY);\

else __seq.set_item_context(this); \

if ((__seq == null || !__seq.do_not_randomize) && !SEQ_OR_ITEM.randomize() with CONSTRAINTS ) begin \

`uvm_warning("RNDFLD", "Randomization failed in uvm_rand_send_with action") \

end\

if (!$cast(__seq,SEQ_OR_ITEM)) finish_item(SEQ_OR_ITEM, PRIORITY);\

else __seq.start(__seq.get_sequencer(), this, PRIORITY, 0);\

end

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...