Jump to content

getting ncelab: *F,INTERR: INTERNAL EXCEPTION error when trying to run uvm1.1


Recommended Posts

irun -access rw -uvmhome ../../../.. +UVM_VERBOSITY=UVM_LOW -quiet +define+UVM_OBJECT_MUST_HAVE_CONSTRUCTOR -loadsc ../../../uvm/lib/uvm_dpi.so -incdir . fifo.sv

$CDSROOT = /ip-tools/Incisive-10.2-017

TOOL: ncsc 10.20-s040

ncsc C++ parameters:

ncsc -COMPILER $CDSROOT/tools/systemc/gcc/4.4/bin/g++

-f ./INCA_libs/irun.lnx86.10.20.nc/ncsc_run/ncsc_obj/ncsc.args

-MANUAL

-CFLAGS "-DNCSC

-I$CDSROOT/tools/systemc/include_pch

-I$CDSROOT/tools/tbsc/include

-I$CDSROOT/tools/vic/include

-I$CDSROOT/tools/ovm/sc/src

-I$CDSROOT/tools/uvm/uvm_lib/uvm_sc/sc

-I$CDSROOT/tools/uvm/uvm_lib/uvm_ml/sc

-I$CDSROOT/tools/systemc/include/tlm2

-c

-x c++ -Wall

-I$CDSROOT/tools/include

-I$CDSROOT/tools/inca/include"

make[1]: Entering directory `/examples/simple/tlm1/producer_consumer'

make[1]: `INCA_libs/irun.lnx86.10.20.nc/ncsc_run/ncsc_obj/uvm_dpi.o' is up to date.

make[1]: Leaving directory `uvm/examples/simple/tlm1/producer_consumer'

make[1]: Entering directory `uvm/examples/simple/tlm1/producer_consumer'

make[1]: uvm/examples/simple/tlm1/producer_consumer/./INCA_libs/irun.lnx86.10.20.nc/librun.so' is up to date.

make[1]: Leaving directory `uvm/examples/simple/tlm1/producer_consumer'

Top level design units:

uvm_pkg

test

ncelab: *F,INTERR: INTERNAL EXCEPTION

-----------------------------------------------------------------

The tool has encountered an unexpected condition and must exit.

Contact Cadence Design Systems customer support about this

problem and provide enough information to help us reproduce it,

including the logfile that contains this error message.

TOOL: ncelab 10.20-s040

OPERATING SYSTEM: Linux 2.6.9-42.ELsmp #1 SMP Wed Jul 12 23:32:02 EDT 2006 x86_64

MESSAGE: sv_seghandler - trapno -1 addr(0x00000000)

-----------------------------------------------------------------

csi-ncelab - CSI: Cadence Support Investigation, sending details to ncelab.err

csi-ncelab - CSI: investigation complete, send ncelab.err to Cadence Support

irun: *E,ELBERR: Error during elaboration (status 255), exiting.

make: *** [run] Error 1

Can any one please help me in coming out of this error: ncelab: *F,INTERR: INTERNAL EXCEPTION

Link to comment
Share on other sites

  • 2 months later...
This problem persists when using -uvm or -uvmhome to replace the original UVM arguments.

since this appears to be a generic crash it would be good if you file a bug report with cadence support. the issue might be related to uvm or to the other code you have - but anyway r&d needs to look at it.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...