Jump to content

Can we assign value to sc_out while no obvious channel?


Ming

Recommended Posts

There is sc_in A in module MA, sc_out B in module MB.

MA.A is binded to MB.B directly.

Can we assign value to B in MB? But where is the channel?

 

As I know, sc_in and sc_out are all sc_port with related interface, we should have related channel to be connected to these two ports.

Thanks in advance.

 

Link to comment
Share on other sites

It is not correct to bind a port to another port directly except in the case of a hierarchical connection. Furthermore, there is no such thing as an input port or an output port in SystemC. Ports are simply sophisticated pointers to channel objects that provide methods for exchanging information. Some methods are directional in nature by g to heir behavior. For instance, sc_signal<int>::write(value) deposits it’s contents into memory managed by the sc_signal<int> channel. 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...