Jump to content

UVM register map and IPXACT


Khushi

Recommended Posts

Hi

I have a set of registers which can be accessed from two different addr maps (uvm_reg_map) and both sees these addresses at different address.

We are trying to generate such uvm registers through IP-Xact. In IP-Xact I can specify registers/register blocks but I am not sure how to specify the fact that one register/register block can be seen at different address via different map/interface. How I can specify the uvm_reg_map in IP-Xact ?

Any clue/example help on this please ?

Thanks

Khushi

Link to comment
Share on other sites

Hi Kushi,

You need to describe the complete system in IP-XACT including the masters with their address spaces. For each master, the address map can be computed and a UVM register model can be generated. Different masters would be able to see the same registers at different locations then. As far as I know, UVM does not support the same register at different addresses allowing write to a register via one address and read of that register via another address.

Best regards,
Erwin

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...