Jump to content

difference between ovm_sequence & uvm_sequence


vishal

Recommended Posts

  • 4 weeks later...

what is the difference between ovm_sequence & uvm_sequence

Hi There,

ovm_sequence is name-based sequence library.

In the UVM1.1/1.1A. the uvm_sequence is type-based sequence library.

The deprecated sequence features in the OVM are all around the name-based sequence library ,including , special sequence/sequencer macros, simple/random/exhaustive predefined sequences and the string based default.

In UVM ,with the type based style you could provide a factory object.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...