Jump to content

Questasim_10.0a error loading design


Recommended Posts

Hi,

I have been using Questasim_10.0a for a while but recently it has a error "Error loading design".

I'm sure that there's no error on my design because I had tried with a very simple module with only input and output declaration. The problem is when I re-instal questasim, it can run properly again.

I also tried with other version of questasim (ver 10.1) but it produced the same error after several times running simulation.

vlog -sv -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF D:/Work/test/Top.sv
# QuestaSim vlog 10.0a Compiler 2011.02 Feb 20 2011
# -- Compiling module Top
# 
# Top level modules:
# 	Top
vsim -novopt work.Top
# vsim -novopt work.Top 
[COLOR="Red"]# Error loading design[/COLOR]

I would very appreciate if anyone can help me to fix this error.

Many thanks in advance!

Minh,

Link to comment
Share on other sites

Is this only your design or any example provided with the release that has this problem?

One thing to try is delete your work library and recreate it with "vlib work". You should always do this when switching between major revisions of the simulator.

The vlog command line does not need -sv if all SystemVerilog files have the extension *.sv

Try the vsim command line "vsim Top" without the -novopt.

If you have further issues, you need to contact Mentor support. You will also need to provide your OS/platform.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...