Jump to content

ERROR IN NCSIM Simulation -E,CUVMUR


Recommended Posts

Hi,
I am running the ncsim.In that I am getting unresolved module error.

ERROR- ncelab: *E,CUVMUR (../altera_emif_mem_model_core_ddr4_161/sim/altera_emif_ddrx_model_per_device.sv,283|12): 
instance 'ed_sim.mem.core.pp_gen[0].inst.depth_gen[0].mem_inst.gen_ddr4_rcd_chip.inst' of design unit 'rcd2_top' is 
unresolved in 'ed_sim_altera_emif_mem_model_core_ddr4_161.altera _emif_ddrx_model_per_device:module'.


In altera_emif_ddrx_model_per_device.sv file i am added rcd2_top. The rcd2_top module is .vp file.Is there any changes to be done 
for compiling protected file in ncsim??

I included files and path properly but also i am getting error

pls anyone help me out for this error.............

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...