Jump to content

UVM compilation issue with basic examples


Recommended Posts

Hi,

I'm trying to compile the 'helloworld' program in uvm1.1 (simple examples) using vcs. I'm getting the following errors.

I'm having :

OS : Linux_x86_64

VCS : vcs-mx_vD-2010.06

I've set the UVM_HOME to the path $user/study/uvm-1.1

Can anyone please let me know if I'm missing anything?

Error-[XMRE] Cross-module reference resolution error

../../../../src/base/uvm_phase.svh, 776

Error found while trying to resolve cross-module reference.

token 'm_successors'. Originating package 'uvm_pkg'.

Source info: pred.m_successors[begin_node] = 1;

Error-[XMRE] Cross-module reference resolution error

../../../../src/base/uvm_phase.svh, 778

Error found while trying to resolve cross-module reference.

token 'm_predecessors'. Originating package 'uvm_pkg'.

Source info: succ.m_predecessors[end_node] = 1;

etc..

Error-[XMRE] Cross-module reference resolution error

../../../../src/reg/uvm_reg_map.svh, 1599

Error found while trying to resolve cross-module reference.

token 'min'. Originating package 'uvm_pkg'.

Source info: if ((((min <= range.max) && (max >= range.max)) || ((min <=

range.min) && (max >= range.min))) || ((min >= range.min) && (max <=

range.max))) begin : unnamed$ ...

Error-[XMRE] Cross-module reference resolution error

../../../../src/reg/uvm_reg_map.svh, 1599

Error found while trying to resolve cross-module reference.

token 'max'. Originating package 'uvm_pkg'.

Source info: if ((((min <= range.max) && (max >= range.max)) || ((min <=

range.min) && (max >= range.min))) || ((min >= range.min) && (max <=

range.max))) begin : unnamed$ ...

Error-[XMRE] Cross-module reference resolution error

../../../../src/base/uvm_phase.svh, 1410

Error found while trying to resolve cross-module reference.

token 'get_full_name'. Originating package 'uvm_pkg'.

Source info: succ.get_full_name()

Error-[XMRE] Cross-module reference resolution error

../../../../src/base/uvm_phase.svh, 1410

Error found while trying to resolve cross-module reference.

token 'get_inst_id'. Originating package 'uvm_pkg'.

Source info: succ.get_inst_id()

1 warning

46 errors

..

Link to comment
Share on other sites

I would recommend you use VCS 2010.06-SP1 or VCS 2011.03.

Also see thread:

http://www.uvmworld.org/forums/showthread.php?309-VCS-an-UVM-1.x-hello_world-simulation-finishes-at-0-ns

For your convienence with VCS-2011.03-SP1 or later you can use the switch "-ntb_opts uvm-1.1"

Please state if VCS 2010.06-SP1 or VCS 2011.03 also produce the same errors.

thanks,

adiel@synopsys.com

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...