Jump to content

VMM 1.2.2 compilation error by using Questasim 10.0b


Recommended Posts

Hi experts,

(1) I downloaded vmm 1.2.2 and wanted to compile it by Questasim 10.0b, but there was an error during the compilation process as :

# QuestaSim-64 vlog 10.0b Compiler 2011.05 May 5 2011

# ** Error: ../../../sv/std_lib/vmm.sv(4450): near "rst_typ": syntax error, unexpected IDENTIFIER, expecting ::

# ** Error: gen.sv(4450): near "=": syntax error, unexpected '=', expecting class

# ** Error: /Tools/linux/mentor/questasim10.0b/questasim/linux_x86_64/vlog failed.

# Error in macro ./run_questa line 5

# /Tools/linux/mentor/questasim10.0b/questasim/linux_x86_64/vlog failed.

# while executing

(2) I also downloaded another Questasim compatible version of vmm 1.1 c from OVM world contribution website, and there was no error during compilation and loading process, but when I ran the test an error is shown as below:

# ** Error: (vsim-8385) ../../../sv/std_lib/vmm_xactor.sv(26): fork..join_none statements in function 'new' only allowed if the calling process originates in an initial or always block.

# ** Error: (vsim-8385) ../../../sv/std_lib/vmm_channel.sv(25): fork..join_none statements in function 'new' only allowed if the calling process originates in an initial or always block.

Could anyone help me?

Thank you in advance.

BR

zeno

Link to comment
Share on other sites

Zeno,

For 1) you will need to contact Synopsys or Mentor to get the recently released VMM 1.2.2 version that is SystemVerilog compliant to run on all simulators.

For 2), your test most likely has a static class variable that initializes with a call to its constructor . Get rid of that static initialization and call new() in an initial block instead.

Dave

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...