Jump to content

VCS an UVM 1.x hello_world simulation finishes at 0 ns


Recommended Posts

Using -ntb_opts uvm-ea the hello_word example finishes correctly with:

UVM_INFO : 18

Time: 1000 ns

Using any of:

-ntb_opts uvm

-ntb_opts uvm-1.0

+incdir+${UVM_HOME} ${UVM_HOME}/uvm_pkg.sv ${UVM_HOME}/dpi/uvm_dpi.cc -CFLAGS -DVCS

with UVM_HOME any of:

/usr/local/synopsys/vcs-mx-E-2011.03/etc/uvm-1.0

/usr/local/uvm-1.0p1/src # from Accellera

/usr/local/uvm-1.1/src # from Accellera

the hello_word example finishes with:

UVM_INFO : 5

Time: 0 ns

Is there a way to have UVM 1.0 work with VCS ?

Link to comment
Share on other sites

Hi,

Please ensure the hello_world/top.sv has the following code to make it UVM-1.0 compliant.

task run_phase(uvm_phase phase);

phase.raise_objection(this);

uvm_top.print_topology();

#1us;

phase.drop_objection(this);

endtask

It might be the VCS installation examples has the old uvm-ea examples code.

I'll check this and get it updated for future releases.

thanks,

Adiel.

Link to comment
Share on other sites

Hi,

hello_world now working o.k. with all the different vcs option I mentioned,

except uvm-1.1, which is expected.

I did find the code You indicated in all the Accellera uvm-1.0p1/examples

but not in vcs-mx-E-2011.03/doc/examples/uvm_1.0

thanks,

Elmar

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...