Jump to content

help required on dpi error


Recommended Posts

Hi,

I am getting a simulation error, while trying to run my first program in UVM methodology.

# ** Fatal: (vsim-160) /tools5/Questa_10_a/questasim/linux_x86_64/../verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh(27): Null foreign function pointer encountered when calling 'dpi_get_next_arg_c'

# Time: 0 ns Iteration: 0 Process: /uvm_pkg File: /tools5/Questa_10_a/questasim/linux_x86_64/../verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh

# Fatal error at /tools5/Questa_10_a/questasim/linux_x86_64/../verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh line 27

#

I using questa 10_a & uvm_1.0 library.

In the README.txt i came across the below info and i even tried compiling the dpi file and linking it.

"You will also need to compile the file $UVM_HOME/src/dpi/uvm_dpi.cc

and/or specify the location of the resulting UVM DPI shared library to

your simulator. This is a simulator-specific process. Please refer to

your simulator documentation"

Can anyone help me on this ?

Thanks

Altair

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...