Jump to content

sequencer and multiple sequences?


Recommended Posts

Hello,

I'd like to know if some features I'm familiar with in the VMM exist in UVM. The closest thing to a uvm_sequencer in VMM is the vmm_ms_scenario_gen. You are able to register multiple scenarios (sequences) with it. This generator then selects via round-robin a scenario from those registered (you can also change that selection to be random).

I see you can register different sequences for different phases in a uvm_sequencer, which is a very nice feature. Does it also allow you to similarly register multiple sequences for the same phase?

Secondly, the vmm_ms_scenario_gen upon completion of a scenario picks another scenario and starts that. If only one scenario is registered, then the same scenario is repeated. Does the uvm_sequencer support that?

Thanks,

Ryan

Link to comment
Share on other sites

hi,

both use cases can be simply mapped:

1 this would be a sequence A starting the other sequences in parallel. in you phase hook you would start the A sequence

2 the simplest framework is to use the uvm_sequence_library which is actually a meta-sequence and allows you quite easy starts of associated sequences using various patterns.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...