Jump to content

uvm_sequence_library - anyone using this?


Recommended Posts

hi,

yes the sequence library is in active use. it has replaced the old sequence libary model (which actually was an association of sequence+sequencer, but sometimes also the file with the sequences was decalred as sequence library). with the uvm10 sequence library the old conceptual model became a real object and therefore a sequence library is really an "entity". the most common use model is as "meta" sequence to start associated sequences. an advanced usage could include user defined schemes such as 'randomize sequences but only those without an "deprecated" in their name'.

/uwe

Link to comment
Share on other sites

hi,

you simply start the sequence library on a sequencer (or have it started automatically via the default_sequence hook). there is no explicit association between sequences and a sequencer anymore (which was only used for the random and exhaustive sequences).

Link to comment
Share on other sites

Thanks for the information.

hi,

you simply start the sequence library on a sequencer (or have it started automatically via the default_sequence hook). there is no explicit association between sequences and a sequencer anymore (which was only used for the random and exhaustive sequences).

Link to comment
Share on other sites

  • 2 months later...

Anyone know why the sequence library is discussed in the User's Guide, but does not appear in the reference manual?

I'm looking at the 1.1 version, and it's not there, although the uvm_sequence_library.svh file has all the comments in it.

Only the uvm_sequence_lib_mode is mentioned briefly in chapter 27, Globals.

Link to comment
Share on other sites

The original reason why sequence libraries were not documented in the UVM1.0 release was that the libraries were a late additional to the release and so missed the documentation deadline. However this doesn't explain why they didn't make the UVM1.1 documentation. Since large chunks of the implementation code is still labelled as "prototype" or "subject to change", I'd be inclined to avoid sequence libraries until their status as a library feature is clarified.

Link to comment
Share on other sites

Bart, thanks for the insight into this. Perhaps you're right. Although, I would think that if this code were prototype that they would have left it out of the user's guide instead of the reference. Or, ideally, just label it in the reference as beta code.

There are a few open bugs pertaining to this. I'm going to try using it for now and will report any strangeness. It seems like a terrific change from OVM's kludgy ovm_random_sequence, and one that we had to implement ourselves.

Link to comment
Share on other sites

hi,

there are two things to know with sequence libraries:

1. yes, they came in late and not really tested so the decision was not to make it part of the standard in 1.0 (the standard is the refence manual!)

2. for the 1.1 release the viptsc didnt have enough feedback/testing to move it from beta into the standard.

anyway, we do use sequence libraries and also recommend them to our customers. we havent seen major issues with that (only minor and reported+fixed) so i think they should be relatively safe to use.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...