Jump to content

[uvm10] legacy sequencer connect() would not be invoked when using under uvm10 phase.


Recommended Posts

All,

I am using uvm10 with some legacy EA style sequencers recently, I found the connect() of sequencer does not be invoked.

it works fine when EA, however, in uvm10 phase,

env: connect_phase()

squencer: connect() // will not be invoked

my questions:

1. Should this be fixed to remain compatible with legacy VIP?

2. Could someone let me know the main reason why sequencer does not extended from component any more?

Thanks!

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...