Jump to content

Questasim 6.6d Does not Compile Codec Example


Recommended Posts

Hi,

I have been using Questasim 6.6d on Linux to run all of the uvm-1.0p1 examples. All examples compile and run except for the Codec example. This example fails to compile with the following message:

# ** INTERNAL ERROR: (vsim-8603) Package 'uvm_pkg' has exported 9200 items, but 9204 items were expected.

# Region: /tb_top

The complete log file is as follows:

# vsim +UVM_VERBOSITY=UVM_MEDIUM -do {run -all; q} -l questa.log -c -sv_lib ../../../lib/uvm_dpi tb_top test

# ** Note: (vsim-3812) Design is being optimized...

# // QuestaSim 6.6d Nov 1 2010 Linux 2.6.9-78.0.13.ELsmp

# //

# // Copyright 1991-2010 Mentor Graphics Corporation

# // All Rights Reserved.

# //

# // THIS WORK CONTAINS TRADE SECRET AND

# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY

# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS

# // AND IS SUBJECT TO LICENSE TERMS.

# //

# Loading sv_std.std

# Loading work.uvm_pkg(fast)

# Loading work.tb_top(fast)

# ** INTERNAL ERROR: (vsim-8603) Package 'uvm_pkg' has exported 9200 items, but 9204 items were expected.

# Region: /tb_top

# Loading work.tb_ctl_if(fast)

# Loading work.vip_rx_if(fast)

# Loading work.vip_pkg(fast)

# Loading work.apb_pkg(fast)

# Loading work.tb_top_sv_unit(fast)

# Loading work.test(fast)

# Error loading design

I noticed that this is the only example that uses the "uvm_process" class for threading which is not documented in the UVM Class Reference Manual 1.0 nor in the UVM Users Guide 1.0. However this may be unrelated to the issue of not being able to compile.

Please let me know if there is a work-around for the Codec example or if a Questasim tool version update is required.

Thanks.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...