Jump to content

uvm object into uvm sequence


Recommended Posts

Hi,

 

I actually want to write a very simple sequence that use a variable of class extends uvm object type.

So I firstly wrote a small class extends uvm object that contains all the properties I want to use, and just after this I wrote a class extends uvm sequence that contains an array of this precedent class. The problem is that doesn't work; doesn't even compile at the end. When I remove the class extends uvm object, it compiles. So I would like to ask you if it is a correct constrution.

Following my basic code for illustrating my question:

class properties_c extends uvm_object;
`uvm_object_utils(properties_c)
// construtor + variables declaration
endclass
 
class basic_seq extends uvm_sequence;
  
`uvm_object_utils(basic_seq)  
`uvm_declare_p_sequencer(seqr) 
// variables declaration 
properties_c array[];
//constructor + array constructor 
 
virtual task body();
 `uvm_do_on_with (seq, p_sequencer.seqr, {  })
 
endtask
 
endclass

 Thanks in advance :)

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...