Jump to content

Unable to link UVMC Lib?


Recommended Posts

Hi,

I am trying to connect SV-SC ports via UVMC and while sccom -link I am getting below error.
I am running simulation in windows. Using UVM-1.0p and UVMC-2.2.

Individual compilation of SV and SC is clean with UVMC-2.2 but problem when linking them.

Can anyone suggest a solution?

Dhaval

# work\_sc\win32_gcc-4.2.1\sc_main.o:sc_main.cpp:(.text$_ZN4uvmc21uvmc_initiator_socketILj32EN3tlm23tlm_base_protocol_typesELi1ELN7sc_core14sc_port_policyE0E14uvmc_converterINS1_19tlm_generic_payloadEEE15nb_transport_bwERS6_RNS1_9tlm_phaseERNS3_7sc_timeE[uvmc::uvmc_initiator_socket<32u, tlm::tlm_base_protocol_types, 1, (sc_core::sc_port_policy)0, uvmc_converter >::nb_transport_bw(tlm::tlm_generic_payload&, tlm::tlm_phase&, sc_core::sc_time&)]+0x93): undefined reference to `C2SV_nb_transport_bw'
# work\_sc\win32_gcc-4.2.1\sc_main.o:sc_main.cpp:(.text$_ZN4uvmc20uvmc_tlm2_port_proxyIN3tlm19tlm_generic_payloadENS1_9tlm_phaseE14uvmc_converterIS2_EE21blocking_sync_processEv[uvmc::uvmc_tlm2_port_proxy >::blocking_sync_process()]+0xe9): undefined reference to `C2SV_blocking_rsp_done'
# work\_sc\win32_gcc-4.2.1\sc_main.o:sc_main.cpp:(.text$_ZN4uvmc20uvmc_tlm2_port_proxyIN3tlm19tlm_generic_payloadENS1_9tlm_phaseE14uvmc_converterIS2_EE21blocking_sync_processEv[uvmc::uvmc_tlm2_port_proxy >::blocking_sync_process()]+0x11b): undefined reference to `C2SV_blocking_req_done'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x52ec): undefined reference to `UVMC_get_uvm_version'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x54ac): undefined reference to `UVMC_get_config_string'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x550d): undefined reference to `UVMC_get_config_int'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x554f): undefined reference to `UVMC_set_config_string'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5593): undefined reference to `UVMC_set_config_int'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x55c7): undefined reference to `UVMC_find_factory_override'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5658): undefined reference to `UVMC_debug_factory_create'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5693): undefined reference to `UVMC_set_factory_type_override'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x56c5): undefined reference to `UVMC_set_factory_inst_override'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x56f1): undefined reference to `UVMC_print_factory'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x572d): undefined reference to `UVMC_report'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5769): undefined reference to `UVMC_report'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x57a5): undefined reference to `UVMC_report'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x57e2): undefined reference to `UVMC_report'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5821): undefined reference to `UVMC_report'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x585b): undefined reference to `UVMC_set_report_verbosity'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x588d): undefined reference to `UVMC_report_enabled'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x58c3): undefined reference to `UVMC_drop_objection'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x58f5): undefined reference to `UVMC_raise_objection'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x5939): undefined reference to `UVMC_wait_for_phase_request'
# work\_sc\win32_gcc-4.2.1\uvmc.o:uvmc.cpp:(.text+0x59e6): undefined reference to `UVMC_print_topology'
# collect2: ld returned 1 exit status
# ** Error: (sccom-6126) Linking failed. Creation of work/systemc.so failed.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...